verilog分析task的接口设计,证明这种写法:assign {a,b,c,d} = links;

verilog分析task的接口设计,证明这种写法:assign {a,b,c,d} = links;

  • 1,task在状态机中的使用好处:
  • 2,RTL设计
  • 3,测试testbench
  • 4,波形分析,正确!

参考文献:
1,练习七-在Verilog中使用任务task

1,task在状态机中的使用好处:

在这里插入图片描述

2,RTL设计

//
//	设计一个模块
module	link_ports(
input			clk,
input			rst_n,

input			p,
input			q,

output			a,
output			b,
output			c,
output			d

//output			out
);

reg		[3:0]	links;
reg		[1:0]	state;

//	判断是否 在rst_n后 的第一个clk,就实现输出结果:1111.
assign		{a,b,c,d}	= links;		//证明这种写法是对的!

always@(posedge clk or negedge rst_n)		begin
	if(!rst_n)		begin
		state		<= 2'b00;
		links		<= 4'b0000;
		end
	else		//	来一个clk, 进行 1次任务.
		add;	
end

task	add;
begin
	casex(state)
		2'b00:	
			if(p == 0 && q == 0)	begin
			links	<= 4'b0101;
			state	<= 2'b01;
			end
			
		2'b01:	
			if(p == 0 && q == 1)	begin
			links	<= 4'b0110;
			state	<= 2'b10;
			end
		
		2'b10:	begin
			links	<= 4'b1001;
			state	<= 2'b11;
			end

		2'b11:	
			if(p ==1 && q == 0)	begin
			links	<= 4'b1111;
			state	<= 2'b00;
			end
		
		default:	begin		//	回到原态
			links	<= 4'b0000;
			state	<= 2'b00;
			end
		
	endcase
			
end
endtask


endmodule

3,测试testbench

//	
//	测试信号
module		tb_link_port;
reg			clk;
reg			rst_n;
reg			p, q;

wire		a;
wire		b;
wire		c;
wire		d;
//	wire		out;

always	#10	clk = ~clk;		// T = 20.
initial			begin
		rst_n	= 0;	clk = 1;	p = 0;	q = 0;
#10		rst_n	= 1;
//		repeat(8)	begin

#20		p	= 0;	q	= 1;

#20		p	= 1;	q	= 0;

#100	$finish;
//		end
end


link_ports		u1_link_ports(
.clk		(clk		),
.rst_n		(rst_n		),
.p			(p			),
.q			(q			),

.a			(a			),
.b			(b			),
.c			(c			),
.d			(d			)
);


endmodule

4,波形分析,正确!

在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/583403.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

蓝牙核心规范(core Specification)与应用规范(Profile)

加zkhengyang可申请加入蓝牙音频研究开发交流答疑群(课题组)&#xff0c;赠送实际蓝牙耳机项目核心开发资料&#xff0c; 我们看到的大部分资料对于蓝牙协议分层一般是核心规范。 射频&#xff0c;基带&#xff0c;链路管理属于蓝牙硬件模块(一般由硬件实现比如FPGA) 逻辑链…

MongoDB数据库迁移的两种办法

在做系统运维时&#xff0c;经常需要对数据库进行迁移&#xff0c;今天这里分享一下MongoDB数据库数据迁移的办法。两种方法 方法1 利用NoSQLBooster for MongoDB直接复制粘贴 这种方法&#xff0c;适合在windows电脑上&#xff0c;可以直接访问原始和目标两个MongoDB库的。优…

Burp自定义插件实现请求拦截

在安全测试时&#xff0c;经常需要对请求进行拦截以及配置管理&#xff0c;以便过滤域名或路径的请求。例如&#xff1a;被测对象会不断收集信息&#xff08;例如IP地址、设备信息&#xff09;通过HTTP传给服务端。本文将介绍如何使用Burp Suite的扩展插件&#xff0c;通过开发…

释放Stable Diffusion 无限可能

最近在整理大语言模型的系列内容&#xff0c;Stable Diffusion 是我下一篇博客的主题。关注 Stable Diffusion&#xff0c;是因为它是目前最受欢迎和影响力最大的多模态生成模型之一。Stable Diffusion 于 2022 年 8 月发布&#xff0c;主要用于根据文本的描述产生详细图像&…

微服务使用SockJs+Stomp实现Websocket 前后端实例 | Vuex形式断开重连、跨域等等问题踩坑(二)

大家好&#xff0c;我是程序员大猩猩。 上次我们实践了&#xff0c;Java后端如何完成SockJSStomp的配置实现。 微服务使用SockJsStomp实现Websocket 前后端实例 | Vuex形式断开重连、跨域等等问题踩坑&#xff08;一&#xff09; 那么今天我们做一下web vue端的是如何来实现…

android studio拍照功能问题解决

1.点击拍照功能直接闪退 2.拍照后不能选择确认键&#xff0c;无法保存 上述是在android studio做项目中经常会使用到模拟器或真机的拍照功能时主要遇到的两个问题。 解决方法&#xff1a; 1.直接闪退问题&#xff1a; if(Build.VERSION.SDK_INT>Build.VERSION_CODES.N)…

谈谈进些年的BLE开发项目

加zkhengyang可申请加入蓝牙音频研究开发交流答疑群(课题组) 最早接触BLE项目是在做一款女性按摩器产品上&#xff0c;所谓的生活用品&#xff0c;用的是TI CC2640&#xff0c;资料齐全&#xff0c;上手快&#xff0c;配合手机app通讯开发&#xff0c;当然这个是单模的蓝牙芯…

学习C语言的指针

有一阵没更新了&#xff0c;因为最近比较繁忙&#xff0c;所以更新比较慢&#xff0c;还在慢慢学习 话不多说&#xff0c;开始今天的内容&#xff0c;聊一聊C语言指针。 很多小伙伴可能会被指针这个名字吓到&#xff0c;觉得很难&#xff0c;实际上确实有点难&#xff0c;但是…

cesium教程

环境搭建 vscode安装Visual Studio Code - Code Editing. Redefined nodejs安装Node.js — Run JavaScript Everywhere cesium源码下载编译 cesium官网下载源码https://cesium.com/downloads/ 解压下载的源码 VsCode打开远吗&#xff0c;找到index.html,右键打开 Open wit…

职场人是如何被拉开差距的?

事实上&#xff0c;职场人的差距从第一天就拉开了。 心理学里有一个词&#xff0c;叫做“首因效应&#xff0c;说的是人们在第一次接触时形成的印象&#xff0c;将会决定后续认知的基调。 入职第一天&#xff0c;从自我介绍开始&#xff0c;展示自己的特长&#xff0c;给大家…

unity项目《样板间展示》开发:菜单界面

unity项目《样板间展示》开发&#xff1a;菜单界面 前言UI菜单创建逻辑实现结语 前言 这是这个项目demo教程的最后一节&#xff0c;这节是菜单界面部分的创建 UI菜单创建 创建一个新的场景&#xff0c;在Scene文件中右键选择Create->Scene&#xff0c;创建新的场景 在场景…

【服务器部署篇】Linux下快速安装Jenkins

作者介绍&#xff1a;本人笔名姑苏老陈&#xff0c;从事JAVA开发工作十多年了&#xff0c;带过刚毕业的实习生&#xff0c;也带过技术团队。最近有个朋友的表弟&#xff0c;马上要大学毕业了&#xff0c;想从事JAVA开发工作&#xff0c;但不知道从何处入手。于是&#xff0c;产…

Elasticsearch实现hotel索引库自动补全、拼音搜索功能

Elasticsearch实现hotel索引库自动补全、拼音搜索功能 在这里边我们有两个字段需要用拼音分词器&#xff0c;一个name字段&#xff0c;一个all字段。 然后我们还需要去实现自动补全&#xff0c;而自动补全对应的字段必须使用completion类型。目前我们酒店里面所有的字段都采用的…

暴雨信息| AI“速”不可挡,倒逼算力巨变!

「 “当某一天人工智能的智慧超越人类&#xff0c;你会发现人工智能将会以迅雷不及掩耳之势改变世界&#xff0c;那个改变是不可逆的&#xff0c;极其迅速。” 」 暴雨信息副董事长孙辉在“IPF2024”上的这个观点&#xff0c;正是当今世界在AI影响下急速前行的真实写照。 记得…

高压、单通道、轨对轨输入输出功率运算放大器RS8471

RS8471是一款高压、单通道、轨对轨输入输出的功率运算放大器&#xff0c;它的工作电压范围在4.5V到24V&#xff0c;最大峰值输出电流2.5A&#xff0c;失调电压为3mV&#xff0c;增益带宽积为25MHz&#xff0c;并提供65V/us的高压摆率&#xff0c;确保输出信号快速建立”,这些特…

[Java EE] 多线程(五):单例模式与阻塞队列

1. 单例模式 单例模式是校招中最长考的设计模式之一,首先我们来谈一谈什么是设计模式: 设计模式就好像象棋中的棋谱一样,如果红方走了什么样的局势,黑方就有一定地固定地套路,来应对这样的局势,按照固定地套路来,可以保证在该局势下不会吃亏. 软件开发也是同样的道理,有很多…

(十二)Servlet教程——HttpServletResponse接口

HttpServletResponse接口继承自ServletResponse接口&#xff0c;HttpServletResponse用来封装HTTP响应消息&#xff0c;简称response对象。 每次请求一个Servlet&#xff0c;Servlet容器就会针对每次请求创建一个response对象&#xff0c;并把它作为参数传递给Servlet的service…

Linux网络-文件传输协议之FTP服务(附带命令及截图)

目录 一.FTP简介 二.FTP的数据模式 1.主动模式 2.被动模式 3.两种模式比较 三.安装配置vsftpd 1.安装vsftpd 1.1.安装前关闭防火墙 1.2.安装vsftpd 1.3.查看 1.4.备份 2.配置 3.重启后生效 四.相关实验 1.以win为例 1.1.设置并测试测试连通性 1.2.在终端里创建…

js逆向进阶篇-某团酒店

提示!本文章仅供学习交流,严禁用于任何商业和非法用途,未经许可禁止转载,禁止任何修改后二次传播,擅自使用本文讲解的技术而导致的任何意外,作者均不负责,如有侵权,可联系本文作者删除! 案例分析: 先来看看请求中有哪些参数是需要我们逆向,如下: mtgsig、fp、roh…

Java包装类,128陷阱

包装类 基本数据类型都有自己对应的包装类&#xff0c;因为Java本质是面向对象编程的&#xff0c;一切的内容在Java看来都是对象 但是基本数据类型没有类&#xff0c;也没有对象&#xff0c;这样就有了矛盾 所以诞生了基本类型的包装类 基本数据类型&#xff1a; byte,short,…
最新文章